综合与时序分析的设计约束-Synopsys设计约束(SDC)实用指南

本书特色

[

本书为集成电路时序约束设计的指南,指导读者通过指定的时序要求,充分发挥IC设计的性能。本书内容包括受时序约束的关键环节的设计流程、综合时序分析、静态时序分析和布局布线等。本书首先详细讲解时序要求的概念,然后详细解释如何将其应用于设计流程中的特定阶段,后通过实践介绍在Synopsys约束设计下(SDC)业界领先约束的格式。

]

目录

目  录译者序推荐序前言致谢一致谢二第1章 绪论 11.1 ASIC设计流程 11.2 FPGA设计流程 41.3 ASIC和FPGA设计流程中的时序约束 61.4 纳米级设计中的时序约束问题 61.5 小结 7第2章 综合的基础知识 82.1 综合的解释 82.2 时序约束在综合中的作用 82.2.1 优化 92.2.2 输入重排序 92.2.3 输入缓冲 102.2.4 输出缓冲 112.3 综合中面临的普遍问题 112.3.1 设计划分 112.3.2 更新约束 122.3.3 多时钟设计 122.4 小结 12第3章 时序分析与约束 143.1 静态时序分析 143.2 时序约束在STA中的作用 153.2.1 约束作为声明 163.2.2 约束作为断言 163.2.3 约束作为指令 163.2.4 约束作为异常 173.2.5 约束的角色变化 173.3 STA中的常见问题 183.3.1 无功能检查 183.3.2 无声明检查 183.3.3 要求正确 183.3.4 约束中的常见错误 193.3.5 好约束的特征 203.4 延迟计算与STA 213.5 时序路径 213.5.1 起点和终点 223.5.2 打断路径 233.5.3 功能路径与时序路径 233.5.4 时钟路径与数据路径 233.6 建立与保持 243.6.1 建立分析 243.6.2 保持分析 243.6.3 其他分析 253.7 裕度 253.8 片上变化 263.9 小结 27第4章 通过Tcl扩展SDC 284.1 时序约束的历史 284.2 Tcl基础知识 294.2.1 Tcl变量 294.2.2 Tcl列表 304.2.3 Tcl表达式与运算符 314.2.4 Tcl的控制流语句 314.2.5 其他Tcl命令 334.3 SDC综述 334.3.1 时序约束 344.3.2 面积与功率约束 344.3.3 设计规则约束 344.3.4 接口约束 344.3.5 特定模式和配置约束 344.3.6 设计约束异常 354.3.7 其他命令 354.4 SDC中的设计查询 354.5 SDC作为标准 364.6 小结 36第5章 时钟 375.1 时钟周期和频率 375.2 时钟沿和占空比 385.3 creat_clock 395.3.1 定义时钟周期 395.3.2 标识时钟源 395.3.3 命名时钟 405.3.4 指定占空比 405.3.5 同源多时钟 415.3.6 注释时钟 425.4 虚拟时钟 425.5 其他时钟特征 435.6 时钟规格的重要性 435.7 小结 44第6章 生成时钟 456.1 时钟分频器 456.2 时钟乘法器 466.3 时钟门控 466.4 create_generated_clock 476.4.1 定义生成时钟的对象 476.4.2 定义生成时钟的源 486.4.3 时钟命名 486.4.4 设定生成时钟的特性 486.4.5 时钟沿位移 516.4.6 多个同源时钟 526.4.7 使能组合电路路径 536.5 生成时钟相关的注意事项 546.6 小结 54第7章 时钟组 557.1 建立和保持时序检查 557.1.1 高速至低速时钟 567.1.2 低速至高速时钟 577.1.3 多个时钟于不同周期内同步 577.1.4 异步时钟 587.2 逻辑和物理独立时钟 587.3 串扰 597.4 set_clock_group 607.5 时钟组相关的注意事项 627.6 小结 62第8章 其他时钟特性 638.1 过渡时间 638.2 set_clock_transition 648.3 偏斜和抖动 658.4 set_clock_uncertainty 658.4.1 内部时钟不确定度 668.4.2 交互时钟不确定度 668.5 时钟延迟 678.6 set_clock_latency 688.7 时钟路径的单边性 708.8 set_clock_sense 718.9 理想网络 728.10 小结 73第9章 端口延迟 749.1 输入有效 749.1.1 *小和*大有效时间 759.1.2 多时钟 759.1.3 理解输入信号的到达时间 769.2 输出要求 779.2.1 *小和*大要求时间 789.2.2 多个参考事件 789.2.3 理解输出要求时间 799.3 set_input_delay 799.3.1 时钟规格 799.3.2 -level_sensitive 809.3.3 rise/fall 限定符 809.3.4 min/max限定符 819.3.5 -add_delay 819.3.6 时钟延迟 829.3.7 完成输入延迟约束 839.4 set_output_delay 839.4.1 时钟规格 839.4.2 -level_sensitive 839.4.3 rise/fall限定符 849.4.4 min/max限定符 849.4.5 -add_delay 849.4.6 时钟延迟 849.4.7 完成输出延迟约束 849.5 输入延迟和输出延迟之间的关系 849.6 时序分析实例 859.6.1 输入延迟:*大输入延迟 869.6.2 输入延迟:*小输入延迟 879.6.3 输出延迟:*大输出延迟 879.6.4 输出延迟:*小输出延迟 889.7 负延迟 899.8 小结 90第10章 完整的端口约束 9110.1 驱动能力 9110.2 驱动单元 9310.3 输入过渡 9710.4 扇出数 9810.5 扇出负载 9810.6 负载 9910.6.1 净电容 9910.6.2 调整引脚负载 9910.6.3 负载类型 10010.6.4 负载和扇出负载 10010.6.5 输入负载 10110.7 小结 101第11章 虚假路径 10211.1 简介 10211.2 set_false_path 10211.3 路径规格 10311.4 过渡过程规格 10511.5 建立/保持规格

封面

综合与时序分析的设计约束-Synopsys设计约束(SDC)实用指南

书名:综合与时序分析的设计约束-Synopsys设计约束(SDC)实用指南

作者:斯里达尔.甘加达兰

页数:174

定价:¥59.0

出版社:机械工业

出版日期:2018-02-01

ISBN:9787111588948

PDF电子书大小:73MB 高清扫描完整版

百度云下载:http://www.chendianrong.com/pdf

发表评论

邮箱地址不会被公开。 必填项已用*标注