Vivado从此开始

本书特色

[

本书涵盖了Vivado的四大主题:设计流程、时序约束、设计分析和Tcl脚本的使用,结合实例深入浅出地阐述了Vivado的使用方法,精心总结了Vivado在实际工程应用中的一些技巧和注意事项,既包含图形界面操作方式,也包含相应的Tcl命令。本书语言流畅,图文并茂。全书共包含405张图片、17个表格、172个Tcl脚本和39个HDL代码,同时,本书配有41个电子教学课件,为读者提供了直观而生动的资料。本书可供电子工程领域内的本科高年级学生和研究生学习参考,也可供FPGA工程师和自学者参考使用。

]

内容简介

[

(1)内容翔实全面:涵盖Vivado所有基本功能
(2)讲解深入浅出:结合大量案例,帮助读者加强对基本概念的理解
(3)描述图文并茂:给出具体操作步骤,易于快速动手实践

]

作者简介

[

Xilinx战略应用高级工程师,拥有多年利用Xilinx FPGA实现数字信号处理算法的经验,对Xilinx FPGA的架构、开发工具Vivado和设计理念有深入理解。2012年发布网络视频课程《Vivado入门与提高》、2015年出版《基于FPGA的数字信号处理(第2版)》一书,均获得网友和读者的广泛认可和好评。

]

目录

第1章 FPGA技术分析 / 11.1 FPGA内部结构分析 / 11.1.1 Xilinx 7系列FPGA内部结构分析 / 11.1.2 Xilinx UltraScale系列FPGA内部结构分析 / 181.2 FPGA设计流程分析 / 221.3 Vivado概述 / 251.3.1 Vivado下的FPGA设计流程 / 251.3.2 Vivado的两种工作模式 / 261.3.3 Vivado的5个特征 / 30参考文献 / 31第2章 设计综合 / 322.1 常用综合选项的设置 / 322.1.1 -flatten_hierarchy对综合结果的影响 / 32第1章 FPGA技术分析 / 11.1 FPGA内部结构分析 / 11.1.1 Xilinx 7系列FPGA内部结构分析 / 11.1.2 Xilinx UltraScale系列FPGA内部结构分析 / 181.2 FPGA设计流程分析 / 221.3 Vivado概述 / 251.3.1 Vivado下的FPGA设计流程 / 251.3.2 Vivado的两种工作模式 / 261.3.3 Vivado的5个特征 / 30参考文献 / 31第2章 设计综合 / 322.1 常用综合选项的设置 / 322.1.1 -flatten_hierarchy对综合结果的影响 / 322.1.2 -fsm_extraction对状态机编码方式的影响 / 352.1.3 -keep_equivalent_registers的含义 / 362.1.4 -resource_sharing对算术运算的影响 / 382.1.5 -control_set_opt_threshold对触发器控制集的影响 / 382.1.6 -no_lc对查找表资源的影响 / 402.1.7 -shreg_min_size对移位寄存器的影响 / 412.2 合理使用综合属性 / 432.2.1 async_reg在异步跨时钟域场合的应用 / 432.2.2 max_fanout对高扇出信号的影响 / 442.2.3 ram_style和rom_style对存储性能的影响 / 462.2.4 use_dsp48在实现加法运算时的作用 / 482.3 out-of-context(OOC)综合模式 / 502.3.1 Project模式下使用OOC / 502.3.2 Non-Project模式下使用OOC / 542.4 综合后的设计分析 / 542.4.1 时钟网络分析 / 542.4.2 跨时钟域路径分析 / 562.4.3 时序分析 / 602.4.4 资源利用率分析 / 722.4.5 扇出分析 / 732.4.6 触发器控制集分析 / 75参考文献 / 75第3章 设计实现 / 763.1 理解实现策略 / 763.1.1 Project模式下应用实现策略 / 763.1.2 Non-Project模式下应用实现策略 / 803.2 理解物理优化 / 813.3 增量实现 / 823.3.1 Project模式下应用增量实现 / 823.3.2 Non-Project模式下应用增量实现 / 873.4 实现后的设计分析 / 883.4.1 资源利用率分析 / 883.4.2 时序分析 / 883.5 生成配置文件 / 903.6 下载配置文件 / 93参考文献 / 99第4章 设计验证 / 1004.1 行为级仿真 / 1004.1.1 基于Vivado Simulator的行为级仿真 / 1004.1.2 基于ModelSim/QuestaSim的行为级仿真 / 1114.2 实现后的时序仿真 / 1154.3 使用VLA(Vivado Logic Analyzer) / 1184.3.1 使用ILA(Integrated Logic Analyzer) / 1184.3.2 使用VIO(Virtual Input/Output) / 1264.3.3 VLA中的数据分析 / 1284.4 使用add_probe / 133参考文献 / 134第5章 IP的管理 / 1355.1 定制IP / 1355.1.1 在Vivado工程中定制IP / 1355.1.2 在Manage IP中定制IP / 1395.2 IP的两种生成文件形式:xci和xcix / 1445.3 对IP的几个重要操作 / 1485.3.1 IP的综合 / 1485.3.2 IP的仿真 / 1505.3.3 IP的更新 / 1515.3.4 IP输出文件的编辑 / 1555.4 IP的属性与状态 / 1565.5 IP的约束 / 1595.6 封装IP / 1645.6.1 通过Vivado工程封装用户代码 / 1645.6.2 通过指定目录封装用户代码 / 177参考文献 / 178第6章 约束的管理 / 1796.1 基本时序理论 / 1796.2 两类基本约束 / 1806.2.1 时钟周期约束 / 1806.2.2 引脚分配 / 2016.3 两种时序例外 / 2106.3.1 多周期路径约束 / 2106.3.2 伪路径约束 / 2146.4 从UCF到XDC / 2176.4.1 UCF与XDC的基本对应关系 / 2176.4.2 理解层次标识符在UCF和XDC中的区别 / 2196.5 时序约束编辑辅助工具 / 2206.5.1 时序约束编辑器 / 2206.5.2 时序约束向导 / 2236.6 关于约束文件 / 224参考文献 / 226第7章 Tcl在Vivado中的应用 / 2277.1 Vivado对Tcl的支持 / 2277.2 Vivado中Tcl命令的对象及属性 / 2327.2.1 文件对象及属性 / 2327.2.2 网表对象及属性 / 2347.3 Tcl命令与网表视图的交互使用 / 2417.4 典型应用 / 2427.4.1 流程管理 / 2427.4.2 定制报告 / 2467.4.3 网表编辑 / 2497.5 其他应用 / 253参考文献 / 256信息

封面

Vivado从此开始

书名:Vivado从此开始

作者:高亚军

页数:264

定价:¥49.0

出版社:电子工业出版社

出版日期:2017-01-01

ISBN:9787121297106

PDF电子书大小:92MB 高清扫描完整版

百度云下载:http://www.chendianrong.com/pdf

发表评论

邮箱地址不会被公开。 必填项已用*标注