清华开发者书库FPGA现代数字系统设计:基于XILINX可编程逻辑器件与VIVADO平台

本书特色

[

本书是以Xilinx公司全可编程FPGA和SoC为基础,针对*的设计工具软件——Vivado介绍FPGA设计理论与设计方法。
全书分为8章,包括现代数字系统设计技术概论、可编程逻辑器件、Verilog HDL硬件描述语言、Vivado设计流程、数字系统的设计与综合、基于FPGA的DSP系统设计、Zynq嵌入式系统设计技术和EGO1综合性设计项目举例。各章都安排了针对性强的已验证过的设计实例,并附有Verilog HDL手册、EGO1开发板资料,供师生在教学中选用。
本书可作为高等院校电子、通信、自动化、计算机等专业本科教学参考书,也可作为信息类专业研究生和数字系统设计人员的参考书。

]

内容简介

[

本书是以Xilinx公司全可编程FPGA和SoC为基础,针对很新的设计工具软件——Vivado介绍FPGA设计理论与设计方法。
全书分为8章,包括现代数字系统设计技术概论、可编程逻辑器件、Verilog HDL硬件描述语言、Vivado设计流程、数字系统的设计与综合、基于FPGA的DSP系统设计、Zynq嵌入式系统设计技术和EGO1综合性设计项目举例。各章都安排了针对性强的已验证过的设计实例,并附有Verilog HDL手册、EGO1开发板资料,供师生在教学中选用。
本书可作为高等院校电子、通信、自动化、计算机等专业本科教学参考书,也可作为信息类专业研究生和数字系统设计人员的参考书。

]

作者简介

[

孟宪元 清华大学电子工程系教授,长期从事EDA相关技术和课程教学和科研工作,具有超过20年的FPGA技术研究和项目开发经历,亲历了FPGA技术的发展历程,积累了丰富实践经验,曾出版《新一代FPGA设计套件Vivado应用指南》等畅销教材。

]

目录

目录第1章现代数字系统设计概论1.1概述1.2数字系统的层次化结构1.2.1开关电路级的基础——CMOS反相器1.2.2逻辑级的门电路1.2.3寄存器传输级的有限状态机1.2.4数字系统的系统级构成1.2.5复杂系统的算法级设计1.3数字系统设计的描述方法1.3.1原理图设计1.3.2程序设计法1.3.3IP模块的使用1.3.4基于模型的设计技术1.3.5高层次综合——HLS设计1.3.6脚本设计技术1.4IP技术1.4.1IP知识产权模块1.4.2IP模块的种类与应用1.4.3片上系统和IP核复用1.5全可编程FPGA/SoC实现智能化系统1.5.1软件智能化和硬件*佳化1.5.2在线可重构技术1.5.3可重配置加速堆栈本章小结习题第2章可编程逻辑器件2.1概述2.1.1可编程逻辑器件概述2.1.2可编程逻辑器件分类2.2CPLD的结构和工作原理2.2.1简单可编程逻辑器件原理2.2.2CPLD的结构和工作原理2.3FPGA的结构和工作原理2.3.1SRAM�膊檎冶砝嘈�2.3.2反熔丝多路开关类型2.4逻辑级FPGA的结构和工作原理2.4.1可编程逻辑2.4.2可编程互连线2.4.3可编程I/O2.5系统级FPGA的结构和工作原理2.5.1片上存储器及接口2.5.2数字时钟管理2.5.3时钟资源2.5.4系统级I/O2.6平台级FPGA的结构和工作原理2.6.1DSP模块2.6.2高速串行接口2.7全可编程FPGA的特性和结构2.7.1采用统一的7系列架构2.7.2高性能和低功耗结合的工艺2.8ASIC架构的UltraScale系列2.8.1UltraScale架构2.8.2SSI互连技术2.9FPGA的配置2.9.1编程原理简介2.9.2编程模式2.9.3典型的配置电路2.9.4编程流程2.9.5部分重配置本章小结习题第3章Verilog硬件描述语言3.1硬件描述语言概述3.1.1硬件描述语言特点3.1.2层次化设计3.2Verilog HDL程序的基本结构3.2.1模块结构分析3.2.2模块的实例化3.3Verilog HDL词法、数据类型和运算符3.3.1词法约定3.3.2数据类型3.3.3运算符3.4Verilog HDL行为语句3.4.1赋值语句3.4.2顺序块和并行块语句3.4.3结构说明语句3.4.4条件语句3.4.5循环语句3.4.6系统任务和系统函数3.4.7编译预处理命令3.4.8Verilog HDL可综合设计3.5Verilog HDL设计举例3.5.1组合电路设计3.5.2时序电路设计3.5.3数字系统设计3.5.4数码管扫描显示电路3.5.5LED通用异步收发电路设计3.6Testbench文件与设计本章小结习题第4章Vivado设计工具4.1Vivado工具概述4.1.1单一的、共享的、可扩展的数据模型4.1.2标准化XDC约束文件——SDC4.1.3多维度分析布局器4.1.4IP封装器、集成器和目录4.1.5Vivado HLS 4.1.6其他特性4.1.7TCL特性4.1.8Vivado按键流程执行设计项目4.2Vivado设计流程4.2.1创建工程4.2.2功能仿真4.2.3RTL级分析4.2.4综合设计4.2.5分配引脚和时序4.2.6设计实现4.2.7生成bit文件4.2.8下载4.3产生IP集成器子系统设计4.3.1产生IP集成器模块设计4.3.2定制IP4.3.3完成子系统设计4.3.4产生IP输出产品4.3.5例示IP到设计中4.4硬件诊断4.4.1设计诊断概述4.4.2Vivado逻辑诊断IP核4.4.3HDL例示法添加ILA核4.4.4系统内诊断uart_led设计4.4.5网表插入法添加诊断核4.4.6添加VIO诊断核本章小结习题第5章数字系统的高级设计与综合5.1Verilog编程风格5.1.1逻辑推理5.1.2陷阱5.1.3设计组织5.1.4针对Xilinx FPGA的HDL编码5.2综合优化5.2.1速度与面积5.2.2资源共享5.2.3流水线、重新定时和寄存器平衡5.2.4有限状态机编译5.3数字系统的同步设计5.3.1同步设计基本原理5.3.2建立和保持时间5.3.3时序例外约束5.3.4同步设计中的异步问题5.4数字系统的综合5.4.1数字系统综合概述5.4.2系统级综合5.4.3高级综合5.4.4寄存器传输级综合5.4.5逻辑级综合本章小结习题第6章FPGA DSP系统设计6.1DSP基础6.1.1DSP的基本概念6.1.2FPGA实现DSP的特点6.2DSP硬核的结构与使用6.2.1输入和输出端口6.2.2DSP48E1模块的操作6.2.3输入端口逻辑电路6.2.4输出端口逻辑6.3FPGA设计DSP技术6.3.1浮点数与定点数的表示与转换6.3.2采样周期的设置6.3.3System Generator模块6.3.4Black Box模块6.3.5ModelSim模块6.3.6Gateway In模块和Gateway Out模块6.3.7Concat模块、Convert模块、Reinterpret模块和Slice模块6.3.8模块通用属性6.4DSP48实现MAC6.4.1利用Xilinx Blockset设计12×8 MAC6.4.2利用Simulink仿真12×8 MAC6.4.3利用System Generator Block产生代码6.4.4实现12×8 MAC设计6.4.5硬件协同仿真校验设计6.5设计FIR滤波器6.5.1产生FIR滤波器的系数6.5.2输入FIR滤波器系数6.5.3在Simulink中仿真FIR滤波器6.5.4实现FIR滤波器6.5.5连接演示板,通过Simulink仿真设计6.6设计MAC FIR滤波器6.6.1分析系数6.6.2添加控制逻辑并参数化6.6.3添加双口RAM6.6.4在数据端口添加填充位和去填充位6.6.5完成MAC FIR设计6.6.6用各种信源测试设计6.6.7执行硬件在环路校验6.7Vivado HLS6.7.1高级综合的调度和装配6.7.2数据通道 控制器架构6.7.3理解Vivado HLS6.7.4高级综合的优化方法本章小结习题第7章嵌入式系统Zynq设计7.1Zynq概述7.2Zynq设计入门7.2.1Vivado工程创建7.2.2由Vivado创建Zynq嵌入式系统7.2.3SDK应用程序编写7.3Zynq嵌入式系统调试方法7.3.1Vivado硬件调试7.3.2使用SDK进行Zynq调试7.4调试Linux应用7.4.1产生SDK软件工作空间7.4.2启动超级终端7.4.3添加和诊断软件应用本章小结习题第8章综合设计实例8.1实例一: 基于VGA接口的设计实例8.1.1设计任务8.1.2原理分析与系统方案8.2实例二: PS/2键盘编解码演示系统8.2.1设计任务8.2.2原理分析与系统方案8.2.3设计实现8.3实例三: 实现SOPC系统8.3.1设计任务和方案8.3.2实验步骤8.3.3实验调试设备本章小结习题附录AEGO1用户手册附录BVerilog HDL(IEEE 1364��2001)关键词表及说明参考文献

封面

清华开发者书库FPGA现代数字系统设计:基于XILINX可编程逻辑器件与VIVADO平台

书名:清华开发者书库FPGA现代数字系统设计:基于XILINX可编程逻辑器件与VIVADO平台

作者:孟宪元、钱伟康

页数:0

定价:¥79.0

出版社:清华大学出版社

出版日期:2019-04-01

ISBN:9787302499138

PDF电子书大小:105MB 高清扫描完整版

百度云下载:http://www.chendianrong.com/pdf

发表评论

邮箱地址不会被公开。 必填项已用*标注